LOCATION MAKE & MODEL EQUIPMENT TYPE OWNER/TRAINER ITEMS REQUIRED FOR TRAINING. TFAB Kulicke & Soffa 4524 Ball Bonder Dennis Bueno Die and package

Similar documents
OPERATION MANUAL Model 800 Nanoimprint Controller

NRF PDMS Processing SOP 2/12/18 Rev 2 Page 1 of 9. NRF PDMS Processing SOP

Diamond Detectors Ltd. Fabrication and Packaging Capabilities. Kevin Oliver

SILICON SENSOR ACTIVITIES AT SINTEF MINALAB. Marco Povoli, Angela Kok, Ozhan Koybasi

NRF Lithography Processes SOP 8/3/2015 Rev 17 Page 1 of 24. NRF Lithography Processes SOP

We have widest range of vacuum coating equipments. Our products can do Pulsed laser deposition (PLD),

Operating Instructions for the STS ICP Metal Etcher

UV-Ozone Technology and Applications

SEMICONDUCTOR MANUFACTURING

Revised: January 8, Goal: Deposit, expose, and develop standard positive photoresist films as an etch mask, liftoff mask, or sacrificial layer.

Process Equipment Division Overview

Heated tools. Semiconductor equipment

SUBFAB DOC PDOC 0152 ROGER SHILE 7/11/97. SCIENCE MISSION SQUID CARRIER SUBSTRATE (Part# ) FABRICATION 1. Document Revision Record

Standard Operating Procedure: Spinner

About PREVAC. PREVAC was founded in 1996 in Rogów, Upper Silesia, Poland.

University of Minnesota Nano Fabrication Center Standard Operating Procedure

YES-3DR HMDS OVEN USERS GUIDE

GENERAL WET BENCH SAFETY AND USES SOP. October 2013 GENERAL SAFETY RULES

Vacuum Systems & Thin Films (Jaeger 6, Campbell 10&12, Ruska 7) Deposition of thin films involves vacuum system Direct Deposition from Source

NMOS Fabrication Process Description

Brandon Barrel Asher. Standard Operating Procedure

The safety refresher are mandatory for ALL users Cleanroom access and instrument usage will be denied for users who:

Standard Operating Procedure: Sputter

CiS Institut für Mikrosensorik ggmbh. Haarbergstraße 61 D Erfurt

Nanoscale Fabrication & Characterization Facility. PVD Products PLD 3000 Deposition system User Guide

A Chiller is equipment to control temperature of customers heating sources. Application Examples

Manual Physical Vapour Deposition System

Operating Instructions for the SAMCO RIE800iPB

Experiment #6 Photolithography: Microprocessing Technology Fabrication of the microstructures with SPR photoresist

Our Company. Your Partner for Pressure Sensing Solutions. Supporting customer success with Pressure Sensing Solutions since SMI Pressure Sensors

FABRICATION 3 EXAMPLES. Fabrication Example 1

PRODUCT OVERVIEW. Precision and Vacuum Technology.

Protocol Photolithography

The University of Washington Nanofabrication Facility (WNF) at Fluke Hall 125 Fluke Hall Seattle, WA 98195

Single Wafer Thin Film Processing Systems

Vacuum Systems & Thin Films (Jaeger 6, Campbell 10&12, Ruska 7) Deposition of thin films involves vacuum system Direct Deposition from Source

IMB-CNM Presentation. Instituto de Microelectrónica de Barcelona. Centro Nacional de Microelectrónica IMB-CNM (CSIC)

NANO-MASTER Single Wafer/Mask Cleaning Systems

Vacuum Systems & Thin Films (Jaeger 6, Campbell 10&12, Ruska 7) Deposition of thin films involves vacuum system Direct Deposition from Source

Teaching Lab Headway Spinner SOP

HG DI

CHA ELECTRON BEAM EVAPORATOR SYSTEM OPERATING MANUAL Version: 1.0 Jan 2012

Chemistry Instrumental Analysis Lecture 14. Chem 4631

Fabrication and Characterization of a Packaged MEMS Gas Flow Sensor

Institute for Scientific and Technological Research

SEMICONDUCTOR DEVICES AREA _System Information

Plasma-Therm 790 RIE (Reactive Ion Etcher) Basic Operation Guide

C19 UNIVEX. High Vacuum Experimentation Systems UNIVEX 300, UNIVEX 450 UNIVEX 350, UNIVEX 450 B Special plants

PRECISION AND VACUUM TECHNOLOGY

Product Brochure. RF/Microwave Manufacturing Services

Guide for Thin Film Evaporation Edited 7/22/13 by Stephen Albright, BJAM & Steven Palefsky

Nanoscale Fabrication & Characterization Facility. Thermionics E-Beam Evaporator Users Guide

Laser Standard Operating Procedure

MEMS THERMAL MASS FLOW METERS FOR HUMIDIFIED GASES

Nanoquim Plataform Basic Course. Neus Romà, Luigi Morrone, Marta Riba, Oriol Sabater

Title: CHA E-Beam Evaporator Semiconductor & Microsystems Fabrication Laboratory Revision: C Rev Date: 01/13/2011

UNIVEX Experimental systems for thin film coating and Space simulation

AJA THERMAL EVAPOTOR STANDARD OPERATING PROCEDURE

Saintech Ion Beam Systems - Ion Current Monitor

Leica EM ACE600 Carbon & Iridium Coating System

University of Minnesota Nano Fabrication Center Standard Operating Procedure

Lab Safety Plan for Optics Room (Rm117)

Think Laser System in Gravure Market For the NEXT STAGE!

Temperature Sensors & Compression Seal Fittings

SiSTEM Technology. Advancing Process Solutions.

Process Technologies for High-Resolution Infrared Detectors based on LiTaO 3

THERMAL PROCESSING THEORY

More Precision. indusensor // Linear inductive displacement sensors

Kapton and Silicone Rubber Flexible Heaters

Wet Bench Standard Operating Procedures

Using the Model 1500 Portable Chilled Mirror Hygrometer

Standard Operating Procedure Glovebox and Thermal Evaporator

Fischione Model 1020 Plasma Cleaner

Arizona State University NanoFab PARYLENE COATER. Rev D

Kurt J. Lesker in the Cryogenic Community. Dr. Glynn Dyson Cryogenics Cluster Day 19 th September 2014

Innovative Vacuum Solutions

DELIVERING OUR CUSTOMERS EXPECTATIONS

Furnaces. High Temperature Box Furnaces Deluxe Muffle Furnaces Economy Muffle Furnaces... 38

PDMS Casting Station Supplies

Research of High Sensitivity Uncooled Infrared Detector Array

Title: Nitride and Silicon Wet Etch Semiconductor & Microsystems Fabrication Laboratory Revision: I Rev Date: 01/20/2010

Semi Conductor Thermography

OXFORD PLASMALAB 100 PECVD

Pyramid Engineering Services Co Ltd. Integrated Laser Systems

Photolithography SOPs

Oerlikon Ebeam Evaporator SOP short. UNT Cleanroom

Custom Products Gallery

XRD XRD-1500 High-Temperature Oven. Innovation in Material Science...

High-Power Q-Switched Diode-Pumped UV Laser Q-Series, Q305

EE-527: MicroFabrication. Wafer Handling and Cleaning

ESCO. LabTech America A M P L E SCIENTIFIC CITIZEN SCALE INC LABOMED, INC. WORLD CLASS, WORLD WIDE. Rev.2

Temperature Sensors & Compression Seal Fittings for Semiconductor Processing

Semiconductor industry

Laboratory Equipment. Use of equipment

Development of the Micro Capillary Pumped Loop for Electronic Cooling

EFM Evaporators. Electron Beam Evaporator for Ultra-Pure Submonolayer and Multilayer Thin Film Growth. Evaporation from Wires, Rods or Crucibles

1.0 Denton Thermal Evaporator

Operation Procedure for SMiF Wet Hoods (Solvent, Spin Coat, Acid, and Develop Hoods)

Exhibitor Listing S48 S11 S46 S22 S24 S32

for Microelectronics Packaging Dave Vallett PeakSource Analytical, LLC Fairfax, Vermont, USA

Transcription:

LOCATION MAKE & MODEL EQUIPMENT TYPE OWNER/TRAINER ITEMS REQUIRED FOR TRAINING TFAB Kulicke & Soffa 4524 Ball Bonder Die and package Bay-2 West Bond 7476 E -79 Wedge Bonder Die and package 101B Zeiss Supra 55VP with EDAX Genesis 4000 ScanningElectronMicroscope(SE M)withX-ray microanalysis Richard wells Highvacuumcompatiblesamplesonly,noloosepo wders 101C Zeiss 1540 Cross Beam with Nabity FocusedIonBeam(FIB)systemwit he-beamand ion beam writing Richard wells Highvacuumcompatiblesamplesonly,noloosepo wders 105 Workroom Paul Logan Contact Owner 105DA Chemical Storage Cabinets Dock Storage 208 Kulicke & Soffa (K & S) Model 4124-2 Thermosonic Gold Ball Bonder Die and package 208 J.A. Woollam Co. Inc. VASE Ellipsometer Richard wells Thin film sample Alcove CHA Industries Vacuum Evaporator Model SEC- 1000-RAP Electron Beam Evaporator Kevin Chambers Crucible, evaporation material, and wafer Alcove PlasmaQuest Model 129 Sputter System Kevin Chambers 4" sputter target (consult owner), wafer Alcove AJA Orion-5-UHV Sputter System Kevin Chambers 4" wafer

Bay 1 Blue_M IGP 6680 Inert Atmosphere Oven wafer Bay 1 Optical Associates Inc. (OAI), Model: 806 MBA Optical double-sided contact mask aligner Photoresist,developer,4"wafer,5"x5"photomask, proper glassware Bay 1 Karl Suss MA56 Contact Mask Aligner Photoresist,developer,4"wafer,5"x5"photomask, proper glassware Bay 1 Headway PWM32-PS-R790 Wafer Spinner Coating material and wafer Bay 1 Signatone Temperature controlled hotplates wafer Bay 1 Cole-Palmer Hot Plate Four hotplates wafer Bay 1 AO Reichert POLYVAR MET Optical Microscope Richard Wells wafer Bay 1 Nanonex NXB200 Nanoimprinter 3" dia. or smaller mold and wafer, UV and underlayer resists (NanoFAB provides Thermal resist from Nanonex), Bay 1 Millipore Gradient A10 and RiOs 16 Point of use deionized water system Kevin Chambers Bay 1 Chemical cabinets solvent, acid, bases, and refrigerator Bay 1 Chemical hoods Solvent, Acid/bases, develop, and spinner Bay 2 Digital Instruments Dimension 5000 Atomic Force Microscope Richard wells Tip holder, tips, wafer Bay 2 Nikon Nomarski Microscope Richard wells Wafer

Bay 2 AlphaStep IQ Surface Profiler Richard wells Wafer with a step feature on it BAY 2 Zeiss LSM 5 Pascal Confocal Microscope Richard wells Wafer Bay 2 Ocean Optics Inc., NanoCalc UV-VIS Reflectometry System Richard wells Thin film sample Bay 2 Bay 2 Agilent4155CSemiconductorParameterAnalyzeran Electrical Test Station Kevin Chambers Wafer with electrical contacts on it for probing d4284aprecisionlcrmeter.micromanipulatormode l8060-us8-v0-1-a Probe Station HP4061ATestStation.IncludesHP4276A,HP4275A, HP4140B, and switching controller (HP 4083A) Electrical Test Station Kevin Chambers Wafer with electrical contacts on it for probing Bay 2 Micromanipulator Model 6000 probe station with a shielded box Kevin Chambers Wafer with electrical contacts on it for probing Bay 2 Vacuum Probe Station Closed chamber probe station Kevin Chambers Wafer with electrical contacts on it for probing Bay 3 Neocera Inc.' PLD, Lambda Physik COMPex Excimer Laser Pulsed Laser Deposition System 3"targetand4"wafer(PermissionfromProf.Celik- Butler is required) Bay 3 Technics Micro-RIE Series 800 Plasma System Reactive Ion Etching System Kevin Chambers Wafer with photoresist pattern Bay 3 PlasmaTherm 500 Series Plasma Processing System Kevin Chambers Bay 3 Diener PICO UHP-RF Asher Richard wells Wafer with photoresist Bay 3 TRION DRIE Etch System 4" Wafer with photoresist pattern Bay 3 TRION MINILOCK II RIE ETCH SYSTEM Kevin Chambers 4" Wafer with photoresist pattern

Bay 3 TRION ORION II PECVD/LPCVD SYSTEM 4" wafer Bay 3 Home-built sputter system Kevin Chambers 3" target and 4" wafer Bay 3 JetFirst 150 Rapid Thermal Processor Kevin Chambers 4" wafer Bay 3 AG Associates RTA, Model: Heatpulse 210 Rapid thermal annealing system Kevin Chambers 4" or smaller wafer Bay 3 MiniBrute Annealing tube furnace 4" wafer Bay 3 Diffusion Furnace (Mini-Brute) Annealing tube furnace Richard wells 4" wafer Bay 3 Tystar Furnace (Oxide, Wet and Dry) Silicon Dioxide Growth 4" wafer Bay 3 Tystar Furnace (LPCVD Nitride Growth) LPCVD Nitride 4" wafer Bay 3 AJA Orion-8-HV E-beam Evaporator Kevin Chambers 4" or smaller wafer Clean Room All supply cabinets Paul Logan Clean Room Storage and Dry Sample Boxes Paul Logan Clean Room Tool Boxes Paul Logan Clean Storage Waste Chemical Cabinets

Entry Chase Microautomation Inc. Model 1100 Wafer Dicing Saw 4" or smaller wafer Entry Chase Disco DAD3220 Dicing saw 4" or smaller wafer TFAB NRC 3117 Thermal Evaporator Richard wells 3" or smaller wafer, boats, evaporation materials TFAB Thermco MiniBrute Diffusion Furnace 4" or smaller wafer TFAB Lindberg Oxidation Furnace 2" or smaller wafer TFAB Acid, Base, and Solvent Cabinets TFAB AJA Orion-5-HV Thermal evaporator Richard wells 4" or smaller wafer, boats, evaporation materials TFAB Gaertner Ellipsometer, Model L116A Ellipsometer Richard wells Thin film sample TFAB HP 4284A and HP 4145A, MM 6000 Probe Station Electrical Test Station Richard wells Wafer with electrical contacts on it for probing TFAB Veeco FPP5000 Four-point probe wafer with diffused layer or thin film TFAB JANDELENGINEERINGLTD,ModelMWP-6- SPECprobestand, and RM3 Test unit Four-point probe system Kevin Chambers wafer with diffused layer or thin film TFABYellowRoom Karl Suss MJB3 Mask Aligner Photoresist,developer,2"wafer,3"x3"photomask, proper glassware TFABYellowRoom Two Blue-M Ovens wafer

TFABYellowRoom Thermoline Two hotplates wafer TFABYellowRoom Headway Spinner spinner wafer and coating material TFABYellowRoom Millipore Milli-Q UV plus and Milli-Q RO 10 plus Point of use deionized water system TFABYellowRoom Solvent Cabinet and small refrigerator TFABYellowRoom Weighing Scale sample to be weighed TFABYellowRoom One Acid and One Solvent Hood TFAB Yellow Room EVG 520IS Wafer Bonder Kevin Chambers Wafer TFAB Yellow Room EVG 620 Backside Aligner Wafer, 5"x5" Mask, Resit, developer Bay-4 Nickel Electroplating Nickel Electroplating Kevin Chambers Wafer